嵌入式培训

嵌入式Linux就业班马上开课了 详情点击这儿

 
上海报名热线:021-51875830
北京报名热线:010-51292078
深圳报名热线:4008699035
南京报名热线:4008699035
武汉报名热线:027-50767718
成都报名热线:4008699035
广州报名热线:4008699035
西安报名热线:029-86699670
研发与生产 脱产就业培训基地
3G通信 企业培训 
  首 页   手机阅读   课程介绍   培训报名  企业培训   付款方式   讲师介绍   学员评价   关于我们   联系我们  承接项目 开发板  网校
嵌入式协处理器--FPGA
FPGA项目实战系列课程----
嵌入式OS--4G手机操作系统
嵌入式协处理器--DSP
手机/网络/动漫游戏开发
嵌入式OS-Linux
嵌入式CPU--ARM
嵌入式OS--WinCE
单片机培训
嵌入式硬件设计
Altium Designer Layout高速硬件设计
嵌入式OS--VxWorks
PowerPC嵌入式系统/编译器优化
PLC编程/变频器/数控/人机界面 
开发语言/数据库/软硬件测试
3G手机软件测试、硬件测试
芯片设计/大规模集成电路VLSI
云计算、物联网
开源操作系统Tiny OS开发
小型机系统管理
其他类
 
      SOC芯片设计系列培训之DFT & Digital IC Testing
   入学要求

        学员学习本课程应具备下列基础知识:
        ◆ 电路系统的基本概念。

   班级规模及环境--热线:4008699035 手机:15921673576/13918613812( 微信同号)
       坚持小班授课,为保证培训效果,增加互动环节,每期人数限3到5人。
   上课时间和地点
上课地点:【上海】:同济大学(沪西)/新城金郡商务楼(11号线白银路站) 【深圳分部】:电影大厦(地铁一号线大剧院站)/深圳大学成教院 【北京分部】:北京中山/福鑫大楼 【南京分部】:金港大厦(和燕路) 【武汉分部】:佳源大厦(高新二路) 【成都分部】:领馆区1号(中和大道) 【沈阳分部】:沈阳理工大学/六宅臻品 【郑州分部】:郑州大学/锦华大厦 【石家庄分部】:河北科技大学/瑞景大厦 【广州分部】:广粮大厦 【西安分部】:协同大厦
近开课时间(周末班/连续班/晚班)
DFT培训班:2024年3月25日.....(请抓紧报名)
   实验设备
     ☆资深工程师授课

        
        ☆注重质量
        ☆边讲边练

        ☆合格学员免费推荐工作

        

        专注高端培训17年,曙海提供的课程得到本行业的广泛认可,学员的能力
        得到大家的认同,受到用人单位的广泛赞誉。

        ★实验设备请点击这儿查看★
   新优惠
       ◆在读学生凭学生证,可优惠500元。
   质量保障

        1、培训过程中,如有部分内容理解不透或消化不好,可免费在以后培训班中重听;
        2、培训结束后免费提供半年的技术支持,充分保证培训后出效果;
        3、培训合格学员可享受免费推荐就业机会。

          SOC芯片设计系列培训之DFT & Digital IC Testing
  • Outlines

    Testing Components: That’s All You Have To Do In Testing

    Briefly speaking, they consist of internal tests, which are normally DFT oriented, functional tests, parametric tests and environment tests. This section is going to talk about what they are and how they impact your testing life.

    ATE & IC Testing: Too Expensive to Ignore It

    What cause ATEs expensive are the precision, speed, memory, channels and integration of digital and analog test functionalities. What do the ATE specs mean to you? Topics include waveforms, strobes, PMU, cost estimation, breakeven point calculation, etc. How they associate with IC testing. Availability and specifications of ATEs limit your design flow, test strategy and time-to-market.

    Trend in ATE: structural tester, low cost tester. What they do and how they reduce your cost.

    Traditional Testing: More Challenges And Expensive

    Event driven and cycle based tests. How people develop the functional patterns for digital IC: verilog testbench to VCD. Advantages and disadvantages of functional tests. ATEs and functional tests. What are parametric tests? Open/short tests. IDD Test. Output voltage testing. Input leakage testing, Tristate leakage test. Wafer sorting. Testing Pies (overlap of different type of patterns detecting faults).

    Test Economics: My Managers’ Jobs
    Moore’s cycle. Test preparation (DFT logics, test-related silicon., pattern generation, pattern simulation, and tester program generation). Test execution (DUT card design, probe cards, temperature generator, handler, drier, production test time, IC debugging, ATE cost). Test escape cost. Defect level (Yield loss vs Test coverage). Diagnosis, Failure analysis. Cost of failure at different stages. Time-to-market, time-to-yield.

    Test cycle (test time) calculation.
    Test economics drives DFT technology, low cost DFT oriented tester and standard test program.

    DFT Technology

     

    --Scan and Faults: Cornerstone Of DFT technology
    Common scan types. Scan variations. How scan work? Scan in ATPG. Scan in BIST. Scan in Boundary scan. DC scan, AC scan (LOS, LOC). How defects are modeled? Fault types.

    --Test Synthesis: Key To High Test Coverage And Design Penalty
    Scan insertion. Partial scan, full scan. Scan assembly, chain balance, lockup latch placement. Dealing with the multiple phase clocks. Bottom up and top down test synthesis. How to deal with multiple types of scan cells. Test Synthesis rules.

    -- DRC rules: The Bridges To Success
    Clock rules, bus (bidi) rules, AVI rules, data traction rules, memory test rules, scan tracing rules.

    --ATPG and Pattern generation: Let Machine Do It??
    ATPG algorithm. Procedures. True beauty of fault simulation. How to fault simulation functional patterns in ATPG? Bus contention in pattern generation. Abort limit. Sequential ATPG.

    Pre-shift, post-shift, end-measurement. Strobe edges: where do I put them (give out an example)
    Fault collapsing. Why ATPG untestable, why DI, UU, TI, BL, RE etc. What’s the atpg? effectiveness? What’s the test coverage and fault coverage? How do you calculate the test coverage? How to increase the test coverage? On chip PLL testing (new method in ac scan). Z masking, padding. Scan cell mask, outputs mask in transition faults.

    --BIST: Pros And Cons
    Memory faults. Memory testing methods. Embedded memory testing, at-speed memory testing. Logic BIST structural, the benefits and the penalty. LBIST flow: phase shift, PRPG, MISR, x-bounding. At-speed logic BIST. ATPG top-up in logic BIST design.

    --Boundary Scan: Don’t Think It’s Too Simple
    Structure of Boundary scan. Can control Memory BIST, LBIST, ATPG (state machine analysis plus an example). Can do board testing (JTAG technology, Asset International). An example on atpg through boundary scan.

    --Pattern Optimization and Technology: Great Area to Hammer DFT
    Pattern compression during ATPG. Pattern ordering. EDT technology, DBIST, XDBIST (deterministic BIST). Macro pattern, fault simulation. Transition pattern generation to iddq pattern generation.

     

    --Diagnosis: Did I Really Do Something Wrong?
    Scan logs. How many failed patterns you need to do diagnosis? What does the values mean in fault simulation and good simulation values. Memory BIST diagnosis. LBIST diagnosis: the difficult thing. How to correlate the pattern with signature?

    --IDDQ pattern generation and Analysis: This Is Analog!?
    IDDQ analysis. How leakage current estimated. Pull up, pull down in IDDQ pattern generation. Tristate in iddq pattern generation. How to efficiently generate IDDQ pattern. Delta IDDQ. Delta IDDQ in wafer sorting.

    --DFT flows: Yes, That’s Where I Am Now
    a) SOC test: directly test big memory through MBIST, macro test embedded small memory, black box analog module, ATPG, pattern simulation, mismatch debugging, diagnosis.
    b)Full scan.
    c) Multiple identical module testing: pin sharing; xor scanouts (aliasing)
    d) Fault simulating functional pattern, ATPG.
    e) LSSD design flow.
    f) MBIST flow
    g) LBIST flow

    IEEE Testing Standards and EDA Tools: Do They Matter to Me?
    Why each tester has its own hardware language?
    IEEE 1450.1 STIL: the new trend in test language. Structure, waveform definition. (an atpg with boundary scan example)
    IEEE 1450.6 CTL

    Engineering IC Debugging: DFT Engineers Hate It
    DC conductivity. Chain tests: diagonal chain pattern. Edge adjustments. Timing factor. DC, scan debugging. AC scan debugging. IDDQ debugging. Shmooing, strobe, clock edge, power supply setup. Two dimension shmooing. Three dimension shmooing. Clock dependency. Flaky results (an example scan chain debugging). Power on order. Probe clk, probe scan-enable. Setting up trigger. Calibration. Pattern qualification, verification.

    PAN-PAC TECHNOLOGY is a consulting oriented Hi-Tech company based at Portland, Oregon, USA, the 3rd largest semiconductor center in USA. Its focused area is for IC testing consulting, ATE analysis, Formal Verification consulting, analog design consulting etc.

     

 
版 权 所 有:上海曙海信息网络科技有限公司 copyright 2000--2012

双休日、节假日及晚上可致电值班电话:021-51875830

值班手机:15921673576/13918613812


备案号:沪ICP备08026168号

.(2024年3月25日.....(请抓紧报名))....................................
在线客服